【环球【qiú】报【bào】资讯】RK3588开【kāi】发板(armsom-w3)之PWM实操

2024-9-21 21:01:40来源:jf_30051736

PWM介绍

专栏总目【mù】录PWM是【shì】脉宽调制(Pulse Width Modulation)的缩【suō】写。它【tā】是一种用于控制电【diàn】子【zǐ】设【shè】备的技【jì】术,通过改变电信号的脉冲宽度来实现对设备的控制。

PWM基本概念

PWM信号【hào】由【yóu】一个固定频率的【de】周期性脉冲序列组成,每个脉冲的宽度【dù】(持续时间【jiān】)可以根【gēn】据【jù】需【xū】要【yào】进行调节。调节脉冲宽度【dù】的比例【lì】可以改【gǎi】变平均电压或电流的大小,从而实现对设备的控制。


(资料图片)

当谈论PWM时,以下三个关键术语经常被提及:

频【pín】率【lǜ】(Frequency):PWM信号的频【pín】率是指每秒钟内脉【mò】冲的数量。

周期(Period):PWM信号【hào】的周【zhōu】期是【shì】指一个【gè】完【wán】整脉【mò】冲序列所花费的时间。它是频率的倒数【shù】,以秒【miǎo】为单位表【biǎo】示。周期可以通过将频率的倒数计算得到,例如【rú】,一个10kHz的PWM信号的周【zhōu】期【qī】为【wéi】0.1毫【háo】秒(100微秒)。

占【zhàn】空比(Duty Cycle):占空比是指PWM信号中脉冲宽度与周期【qī】之间【jiān】的比例【lì】关系。它表示了脉冲在一个周期【qī】中所【suǒ】占据的时间比例,通常【cháng】以【yǐ】百分比表示。占空比为0%意味着脉冲不存在【zài】(完【wán】全低电平【píng】),而占【zhàn】空【kōng】比为【wéi】100%表示脉冲持续【xù】时【shí】间【jiān】占据了整个【gè】周期(完全高电平)。在实际应用中【zhōng】,占空比可以在0%到100%之间任意调整,以实【shí】现【xiàn】所【suǒ】需的控制【zhì】效【xiào】果。

PWM驱动

pwm驱动是一个通用【yòng】的驱动,SOC厂家都【dōu】会在SDK里面【miàn】默【mò】认打【dǎ】开

驱动文件

驱动文件所在位置:

drivers/pwm/pwm-rockchip.c

默认SDK已经【jīng】加载好了PWM的驱【qū】动,下文【wén】我们主要注意PWM怎么使用【yòng】

DTS 节点配置

DTS 配置参考文档

Documentation/devicetree/bindings/pwm/pwm.txt

以下为一个例子的示例

Node name { compatible = "Driver matching character"; pwms = < &pwmX 0 25000 0 >; }; &pwmX { status = "okay"; pinctrl-names = "active"; pinctrl-0 = < &pwmX_pin_pull_down >; };

pwms的几个参数说明如下:

参数 1,表【biǎo】示 index (per-chip index of the PWM to request),一般是 0,因为我【wǒ】们 Rockchip PWM 每【měi】个chip 只【zhī】有一个。

参数 2,表示【shì】 PWM 输出波形的时间【jiān】周期,单位是【shì】 ns;例如下面配置的【de】 25000 就是【shì】表示想要得到的【de】

PWM 输出周期是 40K 赫兹。

参数 3,表示极性,为可选参数;下面例子中的配置为负极性。

PWM使用

PWM 提供了【le】用户层的接【jiē】口,在【zài】 /sys/class/pwm/ 节【jiē】点下面,PWM 驱动加载【zǎi】成功后,会在/sys/class/pwm/ 目【mù】录下产【chǎn】生 pwmchip0 目录;向【xiàng】 export 文件【jiàn】写入 0,就是打开 pwm 定时器0,会产生一个 pwm0 目录,相反【fǎn】的【de】往【wǎng】 unexport 写入【rù】 0 就会关闭 pwm 定时器了【le】,同时 pwm0 目录会

被删除,该目录下有以下几个文件:

enable:写【xiě】入【rù】 1 使能 pwm,写入 0 关【guān】闭 pwm;

polarity:有 normal 或 inversed两个参数选择,表示输出引脚电平翻转;

duty_cycle:在 normal 模式下,表【biǎo】示一个周期内【nèi】高电【diàn】平持续的时间(单位:纳秒),在

reversed 模式下,表【biǎo】示【shì】一个【gè】周期中低电平持续的时间(单位【wèi】:纳秒);

period:表示 pwm 波的周期(单位:纳秒);

以下是 pwmchip0 的例子,设置 pwm0 输【shū】出频率 100K,占空【kōng】比 50%, 极性为正【zhèng】极性【xìng】:

cd /sys/class/pwm/pwmchip0/echo 0 > exportcd pwm0echo 10000 > periodecho 5000 > duty_cycleecho normal > polarityecho 1 > enable

PWM应用实例

通常电子设备中应用pwm是比较常见的,比如风扇电机控制,电视背【bèi】光控制【zhì】, LED 照明调光、电动工具马达控【kòng】制【zhì】、汽车加热器【qì】等【děng】领域。

这里简单介绍一下pwm控制LED灯实现呼吸灯效果。

呼吸灯需要灯的驱动与PWM的驱动结【jié】合【hé】,两个驱动之间传递数据,我们可【kě】以在【zài】驱动中调用其他【tā】的【de】驱动。

led是我需要的设备【bèi】,这个设备用【yòng】到了pwm,而pwm是【shì】用默【mò】认的驱动【dòng】。

硬件上我们在开发板找到具有pwm功能的引脚

设备树的修改如下:

/{breathing_light {compatible = "lhd,breathing_light_test";backlight {pwms = < &pwm8 0 25000 0 >;pwm-names = "breathing_light"; };};};&pwm8 {status = "okay";};

写一个驱动。内部在使用PWM子系统。形成了包含驱动的驱动。

示例代码

驱动程序

#include < linux/init.h >#include < linux/module.h >#include < linux/fs.h >#include < linux/cdev.h >#include < linux/uaccess.h >#include < linux/types.h >#include < linux/kernel.h >#include < linux/delay.h >#include < linux/ide.h >#include < linux/errno.h >#include < linux/gpio.h >//#include < asm/mach/map.h >#include < linux/of.h >#include < linux/of_address.h >#include < linux/of_gpio.h >#include < asm/io.h >#include < linux/device.h >#include < linux/platform_device.h >#include < linux/pwm.h >#define RED_LED_DTS_COMPATIBLE       "lhd,breathing_light_test"       /* 设备树节点匹配属【shǔ】性 */#define LED_PWM_CMD_SET_DUTY         0x01#define LED_PWM_CMD_SET_PERIOD       0x02#define LED_PWM_CMD_SET_BOTH         0x03#define LED_PWM_CMD_ENABLE           0x04#define LED_PWM_CMD_DISABLE          0x05struct led_pwm_param{    int duty_ns;    int period_ns;};struct red_led_dev {    dev_t dev_no;                        struct cdev chrdev;                struct class *led_class;    struct device_node *dev_node;    struct pwm_device *red_led_pwm;};static struct led_pwm_param led_pwm;static struct red_led_dev led_dev;static int red_led_drv_open (struct inode *node, struct file *file){    int ret = 0;    //pwm_set_periodnnn(led_dev.red_led_pwm, PWM_POLARITY_INVERSED);//设置PWM信号的极【jí】性pwm_enable(led_dev.red_led_pwm);//启用【yòng】指定PWM设备,使【shǐ】其【qí】开【kāi】始输出PWM信号。    printk("red_led_pwm open");    return ret;}static ssize_t red_led_drv_write (struct file *file, const char __user *buf, size_t size, loff_t *offset){    int err;    if (size != sizeof(led_pwm)) return -EINVAL;err = copy_from_user(&led_pwm, buf, size);    if (err > 0) return -EFAULT;pwm_config(led_dev.red_led_pwm, led_pwm.duty_ns, led_pwm.period_ns);//配置PWM设备【bèi】的基本参数,如【rú】频率、占空比等。    printk("red_led_pwm write");return 1;}static long drv_ioctl(struct file *filp, unsigned int cmd, unsigned long arg){    int ret = 0;    void __user *my_user_space = (void __user *)arg;        switch (cmd)    {        case LED_PWM_CMD_SET_DUTY:            ret = copy_from_user(&led_pwm.duty_ns, my_user_space, sizeof(led_pwm.duty_ns));            if (ret > 0) return -EFAULT;            pwm_config(led_dev.red_led_pwm, led_pwm.duty_ns, led_pwm.period_ns);            break;        case LED_PWM_CMD_SET_PERIOD:            ret = copy_from_user(&led_pwm.period_ns, my_user_space, sizeof(led_pwm.period_ns));            if (ret > 0) return -EFAULT;            pwm_config(led_dev.red_led_pwm, led_pwm.duty_ns, led_pwm.period_ns);            break;        case LED_PWM_CMD_SET_BOTH:             ret = copy_from_user(&led_pwm, my_user_space, sizeof(led_pwm));            if (ret > 0) return -EFAULT;            pwm_config(led_dev.red_led_pwm, led_pwm.duty_ns, led_pwm.period_ns);            break;        case LED_PWM_CMD_ENABLE:            pwm_enable(led_dev.red_led_pwm);            break;        case LED_PWM_CMD_DISABLE:            pwm_disable(led_dev.red_led_pwm);            break;    }    return 0;}static int red_led_drv_release(struct inode *node, struct file *filp){    int ret = 0;    pwm_config(led_dev.red_led_pwm, 0, 5000);//配置【zhì】PWM设备的基本参数,如频率【lǜ】、占空比【bǐ】等。    printk("led pwm dev close");//    pwm_disable(led_dev.red_led_pwm);    return ret;}static struct file_operations red_led_drv = {.owner = THIS_MODULE,.open    = red_led_drv_open,.write   = red_led_drv_write,    .unlocked_ioctl = drv_ioctl,    .release  = red_led_drv_release,};/*设备【bèi】树的匹配列表 */static struct of_device_id dts_match_table[] = {    {.compatible = RED_LED_DTS_COMPATIBLE, },      {},                  };static int led_red_driver_probe(struct platform_device *pdev){    int err;    int ret;    struct device *tdev;    struct device_node *child;    tdev = &pdev- >dev;    child = of_get_next_child(tdev- >of_node, NULL);      /* 获取设备树子【zǐ】节点【diǎn】 */if (!child) {        return -EINVAL;    }    led_dev.red_led_pwm = devm_of_pwm_get(tdev, child, NULL);     /* 从子【zǐ】节点中获【huò】取PWM设备,设备【bèi】树获取这个设备就可以了【le】 */    if (IS_ERR(led_dev.red_led_pwm)) {        printk(KERN_ERR"can"t get breathing_light!!");        return -EFAULT;    }    ret = alloc_chrdev_region(&led_dev.dev_no, 0, 1, "breathing_light");//动【dòng】态分配字【zì】符【fú】设备的主设备号if (ret < 0) {pr_err("Error: failed to register mbochs_dev, err: %d", ret);return ret;}cdev_init(&led_dev.chrdev, &red_led_drv);//初始化字符设备结构体cdevcdev_add(&led_dev.chrdev, led_dev.dev_no, 1);//将已经初始化的字【zì】符设备结构体cdev添加到系【xì】统【tǒng】中【zhōng】    led_dev.led_class = class_create(THIS_MODULE, "breathing_light");//创建一个设【shè】备【bèi】类(device class)并注册到内核中err = PTR_ERR(led_dev.led_class);if (IS_ERR(led_dev.led_class)) {        goto failed1;}    tdev = device_create(led_dev.led_class , NULL, led_dev.dev_no, NULL, "breathing_light"); //创建一个【gè】设备实例【lì】并注册到设备【bèi】类中    if (IS_ERR(tdev)) {        ret = -EINVAL;goto failed2;}   printk(KERN_INFO"%s %s line %d", __FILE__, __FUNCTION__, __LINE__);        return 0;failed2:    device_destroy(led_dev.led_class, led_dev.dev_no);    class_destroy(led_dev.led_class);failed1:    cdev_del(&led_dev.chrdev);unregister_chrdev_region(led_dev.dev_no, 1);    return ret;}int led_red_driver_remove(struct platform_device *dev){    // pwm_disable(led_dev.red_led_pwm);    // pwm_free(led_dev.red_led_pwm);    printk(KERN_INFO"driver remove %s %s line %d", __FILE__, __FUNCTION__, __LINE__);    device_destroy(led_dev.led_class, led_dev.dev_no);class_destroy(led_dev.led_class);unregister_chrdev_region(led_dev.dev_no, 1);    cdev_del(&led_dev.chrdev);         return 0;}static struct platform_driver red_led_platform_driver = {      .probe = led_red_driver_probe,      .remove = led_red_driver_remove,      .driver = {        .name = "lhd,breathing_light_test",        .owner = THIS_MODULE,        .of_match_table = dts_match_table,         //通过设备树匹配      },};module_platform_driver(red_led_platform_driver);MODULE_AUTHOR("LHD");MODULE_LICENSE("GPL");

将上述驱动编译为ko文件然后push进3588开发板里面

应用层程序

#include "stdio.h"#include < sys/types.h >#include < sys/stat.h >#include < fcntl.h >#include < unistd.h >#include < stdio.h >#include < string.h >#include < sys/ioctl.h >#include < poll.h >#include < stdint.h >#define DEV_NAME   "/dev/breathing_light"#define LED_PWM_CMD_SET_DUTY         0x01#define LED_PWM_CMD_SET_PERIOD       0x02#define LED_PWM_CMD_SET_BOTH         0x03#define LED_PWM_CMD_ENABLE           0x04#define LED_PWM_CMD_DISABLE          0x05struct led_pwm_param {    int duty_ns;    int period_ns;};void sleep_ms(unsigned int ms){    struct timeval delay;delay.tv_sec = 0;delay.tv_usec = ms * 1000; select(0, NULL, NULL, NULL, &delay);}int main(int argc, char **argv){    int fd;    int ret;  /* 2. 打开【kāi】文【wén】件 */fd = open(DEV_NAME, O_RDWR | O_NONBLOCK);   // | O_NONBLOCKif (fd < 0){printf("can not open file %s, %d", DEV_NAME, fd);return -1;}         int buf = 3;struct led_pwm_param led_pwm;led_pwm.duty_ns = 500;led_pwm.period_ns = 5000;    write(fd, &led_pwm, sizeof(led_pwm));    sleep_ms(3000);while(1){if(led_pwm.duty_ns<=500){while(led_pwm.duty_ns< led_pwm.period_ns){ioctl(fd, LED_PWM_CMD_SET_DUTY, &led_pwm.duty_ns);sleep_ms(50);led_pwm.duty_ns += 300;}}else{while(led_pwm.duty_ns > 500){ioctl(fd, LED_PWM_CMD_SET_DUTY, &led_pwm.duty_ns);sleep_ms(50);led_pwm.duty_ns -= 300;}}}close(fd);        return 0;}

使用3588自带的编译器将用户程序编译进开发板

prebuilts/gcc/linux-x86/aarch64/gcc-arm-10.3-2021.07-x86_64-aarch64-none-linux-gnu/bin/aarch64-none-linux-gnu-gcc apptest_breathing_light_.c -o testpwm

adb push path/testpwm /userdata

chmod 777 testpwm

./testpwm

最后可以看到灯明灭交替的效果

审核编辑:汤梓红

为你推荐

最新资讯

股票软件